2012年7月5日木曜日

AlteraのBlasterⅡは問題があるようですな

オプティマイズさんのMaxⅡを買って組み立てた。
そして、コーディングしたVHDLをコンパイル。
しかし、MaxⅡへの書き込みに失敗した。
以前は、QuartusⅡのヴァージョンを下げて対応したのだが、PCの環境を変えるたびに同じことをするのも困る。

パラレルポートをつかうByteBlasterⅡは、どうなのだろうかとちょっと調べてみた。

Altera版問題

よく調べたものだなと感心した。

結論として得たのは、もう、パラレルポートがレガシーすぎること。
そろそろUSBに切り替えた方が良いようだ。

USB-Blasterもどき

を見つけた。
ほかにも、USB-Blasterを自作する記事も見つけたが、USBのICとCPLDが必要なのでパス。
ちょうどPIC18F14K50が手持ちであったので、つくってみることに。。。。

0 件のコメント:

コメントを投稿