2009年12月27日日曜日

CPLD MAX2の動作確認

まずは、MAXⅡに5つのLEDを順番に点灯させていく簡単なプログラムを登録して動作確認。



VHDLソース

0 件のコメント:

コメントを投稿